Tuesday, November 03, 2020

Image Sensors at IEDM 2020: Facebook, Samsung, Omnivision, Sony, More...

IEDM publishes its 2020 program with many image sensor-related papers:
  • Sony presents 10um BSI SPAD with 14% PDE @ 940nm, possibly used in Apple iPad/iPhone LiDAR
  • Facebook and Brillnics present low power sensor
  • Samsung presents 108MP Nonacell sensor with 0.8um pixels and 18Ke- FWC in 3x3 binning mode
  • Omnivision presents 64MP sensor with 0.7um pixels with 18Ke- PWC in 2x2 binning mode
  • Imec presents SWIR imager with 1.82um pixels
  • Much more...
16.1 A 4.6µm, 512×512, Ultra-Low Power Stacked Digital Pixel Sensor with Triple Quantization and 127dB Dynamic Range,
Chiao Liu, Lyle Bainbridge, Andrew Berkovich, Song Chen, Wei Gao, Tsung-Hsun Tsai, Kazuya Mori*, Rimon Ikeno, Masayuki Uno*, Toshiyuki Isozaki*, Yu-Lin Tsai**, Isao Takayanagi*, Junichi Nakamura*,
Facebook Inc, *Brillnics Japan Inc., **Brillnics Inc.
A 512x512 digital pixel sensor (DPS) in stacked CIS process for ultra-low power, ultra-wide dynamic range mobile computer vision applications is presented. Each 4.6µm DPS pixel has an ADC and 10-bit SRAM. We introduce a single exposure triple quantization (3Q) scheme to achieve 127dB DR while consuming 5.3mW at 30fps.

16.2 A 0.8 μm Nonacell for 108 Megapixels CMOS Image Sensor with FD-Shared Dual Conversion Gain and 18,000e- Full-Well Capacitance,
Youngsun Oh, Munhwan Kim, Wonchul Choi, Hana Choi, Honghyun Jeon, Junho Seok, Yujung Choi, Jaejin Jung, Kwisung Yoo, Donghyuk Park, Yitae Kim, Kyoung-min Koh, Jesuk Lee, Chang-Rok Moon, JungChakAhn,
Samsung Electronics Co., Ltd.
A 0.8μm-pitch 108 megapixels ultrahigh-resolution CMOS image sensor has been demonstrated for mobile applications. The Nonacell was developed with odd-number shared pixel, and the FWC was secured up to 18,000e-. 3 active binning mode to achieve 12 megapixels resolution, ensuring excellent low- and high-illuminance SNR.

16.3 A 64M CMOS Image Sensor using 0.7um pixel with high FWC and switchable conversion gain,
Y. Jay Jung, Vincent Venezia, Sangjoo Lee, Chun Yung Ai, Yibo Zhu, King W. Yeung, Geunsook
Park, Woonil Choi, Zhiqiang Lin, Wu-Zang Yang, Alan Chih-Wei Hsiung, Lindsay Grant,
OmniVision Technologies, Inc.
This paper presents a 64MP, backside-illuminated, imager using 0.7um pixel-pitch with 7.0ke- FWC. Switchable-conversion-gain was also demonstrated to have high 18.0ke- FWC in 4-Cell mode. Several new processes were implemented to overcome pixel performance degradation. As a result, this high FWC imager achieves low dark-noise and high QE, comparable to 0.8um.

16.4 A Global Shutter Wide Dynamic Range Soft X-ray CMOS Image Sensor with BSI Pinned Photodiode, Two-stage LOFIC and Voltage Domain Memory Bank,
Hiroya Shike, Rihito Kuroda, Ryota Kobayashi, Maasa Murata, Yasuyuki Fujihara, Manabu Suzuki, Taku Shibaguchi*, Naoya Kuriyama*, Jun Miyawaki**, Tetsuo Harada***, Yuichi Yamasaki^, Takeo Watanabe***, Yoshihisa Harada***, Shigetoshi Sugawa, 
*Tohoku University, **LAPIS Semiconductor Co., Ltd., ***The University of Tokyo, ^University of Hyogo
A prototype soft X-ray CMOS image sensor (sxCMOS) with BSI pinned photodiode with a 45µm-thick Si substrate, two-stage LOFIC and voltage domain memory bank with high density capacitors is presented. The fabricated chip demonstrated a high QE toward soft X-ray with a single exposure 129dB dynamic range by global shutter.

16.5 Imaging in Short-Wave Infrared with 1.82 µm Pixel Pitch Quantum Dot Image Sensor
Jiwon Lee, Epimitheas Georgitzikis, Yunlong Li, Ziduo Lin, Jihoon Park, Itai Lieberman, David Cheyns, Murali Jayapala, Andy Lambrechts, Steven Thijs, Richard Stahl, Pawel Malinowski,
imec
High pixel density SWIR image sensor with 1.82 μm pixel pitch is presented. PbS QD photodiode is monolithically integrated on custom CMOS readout. We show through-silicon vision and lens-free imaging (LFI) examples. To our knowledge, this is the smallest pitch SWIR pixel ever reported and the first QD-based LFI system.

16.6 A Back Illuminated 10μm SPAD Pixel Array Comprising Full Trench Isolation and Cu-Cu Bonding with Over 14% PDE at 940nm,
K. Ito, Y. Otake, Y. Kitano, A. Matsumoto, J. Yamamoto, T. Ogasahara, H. Hiyama, R. Naito*, K. Takeuchi*, T. Tada*, K. Takabayashi*, H. Nakayama*, K. Tatani, T. Hirano, and T. Wakano,
Sony Semiconductor Solutions, *Sony Semiconductor Manufacturing
We developed a BI 10um SPAD array sensor using pixel-level Cu-Cu bonding and metal-buried Full Trench Isolation. Using a 7um thick Si layer, a fine-tuned potential and process, over 14% PDE at λ=940nm and the best in class DCR were achieved. Low timing jitter and suppressed X-talk were also demonstrated.

17.1 Portable Multi-Spectral Imaging: Devices, Vertical Integration, and Applications (Invited),
Alberto Valdes-Garcia, Petar Pepeljugoski, Ivan Duran, Jean-Olivier Plouchart, Mark Yeck, Huijuan Liu,
IBM T. J. Watson Research Center
Advances in semiconductor and packaging technologies have downsized sensing devices including visible-domain/IR and mmWave radars. This paper discusses challenges and opportunities associated with portable multi-spectral imaging systems, where data from across the EM spectrum is captured, processed, and displayed simultaneously. A prototype system, experimental data, and potential applications are discussed.

33.1 Low power consumption and high resolution 1280X960 Gate Assisted Photonic Demodulator pixel for indirect Time of flight,
Y. Ebiko, H. Yamagishi, K. Tatani, H. Iwamoto, Y. Moriyama, Y. Hagiwara, S. Maeda, T. Murase, T. Suwa, H. Arai, Y. Isogai, S. Hida*, S. Kameda*, T. Terada*, K. Koiso*, F. T Brady**, S. Han**, A. Basavalingappa**, T. Michiel***, T. Ueno***,
Sony Semiconductor Solutions Corporation, * Sony Semiconductor Manufacturing Corporation, ** Sony Electronics Inc. Image Sensor Design Center, ***Sony Depth Sensing Inc.
A 3.5um square 1.2M pixel indirect time of flight sensor achieves 18,000e- full well capacity and 32% quantum efficiency with diffraction structure. Low power consumption is also achieved, due to low resistance Cu-Cu connection wiring. These device architectures enable high resolution and wide dynamic range 3D depth sensing.

33.2 A 2.8 μm Pixel for Time of Flight CMOS Image Sensor with 20 ke- Full-Well Capacity in a Tap and 36 % Quantum Efficiency at 940 nm Wavelength,
YongHun Kwon, Sungyoung Seo, Sunghyuck Cho, Sung-Ho Choi, Taeun Hwang, Youngchan Kim, Young-Gu Jin, Youngsun Oh, Min-Sun Keel, Daeyun Kim, Myunghan Bae, Yeomyung Kim, Seung-Chul Shin, SunJu Hong, Seok-HaLee, Ho Woo Park, Yitae Kim, Kyoungmin Koh, JungChak Ahn,
Samsung Electronics
A 2.8μm 4-tap global shutter pixel has been realized for a compact and high-resolution time of flight (ToF) CMOS image sensor. 20,000 e- of full-well capacity (FWC) per a tap is obtained by employing a MOS capacitor. 36% of quantum efficiency (QE) 86 % of demodulation contrast (DC) are achieved.

9.4 Characterization Scheme for Plasma-Induced Defect due to Stochastic Lateral Straggling in Si Substrates for Ultra-Low Leakage Devices,
Yoshihiro Sato, Takayoshi Yamada, Kazuko Nishimura, Masayuki Yamasaki, Masashi Murakami, Keiichiro Urabe*, Koji Eriguchi*,
Panasonic Corporation, *Kyoto University
This study demonstrates a new characterization scheme to assess the density and profile of defects in the lateral direction and to verify their impacts using CMOS image sensor-based structures. We present a 3D (vertical and lateral) defect map as well as possible optimization strategies for ultra-low leakage devices.

1 comment:

  1. Hoping to see some good triple gain sensors this year. They should hopefully push sensor tech a little more than the slow pace its slowed down to.

    ReplyDelete

All comments are moderated to avoid spam and personal attacks.